| |

Intel pretende lançar chip com 1 trilhão de transistores depois de 2030, mas isso exige novos materiais e embalagens

Publicidade

A Intel no evento IEEE International Electron Devices Meeting (IEDM) 2022 compartilhou suas conquistas no desenvolvimento e produção de chips necessários para “mantendo a Lei de Moore no caminho para um chip de trilhão de transistores na próxima década“. Em particular, a Intel falou sobre o desenvolvimento de novas embalagens 3D, materiais inovadores para aumentar a densidade dos transistores e novas soluções para melhorar a eficiência energética e a memória na computação de alto desempenho.

Publicidade

75 anos após a invenção do transistor, as inovações no cerne da Lei de Moore continuam a atender a demanda global exponencialmente crescente por computadores. No IEDM 2022, a Intel está apresentando os avanços de pesquisa inovadores e concretos necessários para superar as barreiras atuais e futuras, satisfazer a demanda insaciável e manter a Lei de Moore em vigor nos próximos anos.“, disse Gary Patton, vice-presidente da Intel e gerente geral de pesquisa e design de componentes.

No IEDM 2022, o Intel Components Research Group demonstrou seu compromisso com a inovação em três áreas principais para cumprir a Lei de Moore. Pesquisadores do Intel Components Research Group descobriram novos materiais e tecnologias que “borrar a linha entre embalagem e cristal”, o que permitirá à empresa combinar um trilhão de transistores em um único substrato.

Em primeiro lugar, é extremamente difícil fazer um chip com um trilhão de transistores, então seria muito mais prático combinar vários chips (chiplets) em um substrato, mas isso requer tecnologias de embalagem inovadoras. Conforme observado no comunicado à imprensa, a Intel está pronta para oferecer tecnologia de empacotamento de chip 3D com “10x de aumento na densidade”, comparando com as soluções que a empresa apresentou no IEDM 2021.

Publicidade

A empresa também observou que dimensionar a embalagem híbrida para um nível de 3 mícrons “fornecerá a mesma densidade e rendimento que em chips monolíticos como plataformas de chip único“. Em outras palavras, a Intel tentará garantir que não haja diferença entre um chip monolítico e uma pilha de vários chips.

Em segundo lugar, a Intel está procurando materiais “bidimensionais” ultrafinos para encaixar mais transistores em um único chip. A Intel demonstrou uma estrutura multicamada de nanofolhas com transistores de porta circundante (GAA), que são feitos de um material “bidimensional” com apenas 3 átomos de espessura. A Intel também mostrou comutação quase perfeita de transistores em uma estrutura de porta dupla em temperatura ambiente com baixa corrente de fuga. Esses são os dois principais avanços necessários para unificar os transistores GAA e superar as limitações fundamentais dos chips de silício.

Os pesquisadores também apresentaram a primeira análise abrangente de topologias de contato elétrico para materiais 2D, o que ajudará a abrir caminho para circuitos de transistor escaláveis ​​e de alto desempenho.

Em terceiro lugar, a Intel está oferecendo novas oportunidades para melhorar a eficiência energética e melhorar a memória no espaço HPC. Para aproveitar melhor o espaço do chip, a Intel está repensando o dimensionamento, projetando memória que pode ser empilhada verticalmente acima dos transistores – um pouco reminiscente do AMD 3D V-Cache, mas a tecnologia da Intel tem um elenco impressionante, pois oferece várias camadas em um único dado . A Intel observou que demonstrou pela primeira vez na indústria capacitores ferroelétricos multicamadas que correspondem ao desempenho dos capacitores ferroelétricos convencionais e podem ser usados ​​para construir FeRAM sobre uma matriz lógica.

A Intel também mostrouo primeiro modelo em nível de dispositivo da indústria que captura fases mistas e defeitos para dispositivos ferroelétricos avançados baseados em háfnio‘, e isso aponta para ‘progresso significativo da Intel no suporte a ferramentas da indústria para o desenvolvimento de novos dispositivos de memória e transistores ferroelétricos“.

A Intel também disse que está abrindo caminho para a produção em massa de eletrônicos de potência baseados em transistores GaN baseados em wafers de 300 mm (GaN-on-silicone). É relatado que estefornecem uma melhoria de 20 vezes em relação às tecnologias GaN existentes e estabelecem um recorde de qualidade da indústria para fornecimento de energia de alto desempenho“.

A Intel também se gabou de avanços no campo das tecnologias de super eficiência energética. Em particular, a empresa disse que criou transistores que “não se esqueça de nada, mantendo os dados mesmo quando a energia é desligada“.”Os pesquisadores da Intel já superaram duas das três barreiras que impedem que a tecnologia se torne totalmente viável e funcional à temperatura ambiente.“, diz o comunicado de imprensa.

Por fim, ou seja, em quarto lugar, a Intel observou que continua introduzindo novos conceitos, oferecendo os melhores qubits para computação quântica. Os pesquisadores da Intel estão trabalhando para encontrar as melhores maneiras de armazenar informações quânticas, coletando vários dados sobre como o ambiente afeta os dados quânticos armazenados de uma forma ou de outra.

Se você notar um erro, selecione-o com o mouse e pressione CTRL + ENTER.

Posts Similares

Deixe um comentário

O seu endereço de e-mail não será publicado. Campos obrigatórios são marcados com *